miércoles, 27 de noviembre de 2013

RT-2013-01: Automatización de la rendija del espectrógrafo Boller & Chivens del OAN.

Autores: F. Murillo, G. Sierra, J.M. Murillo, G. Guisa,  B. Martínez, F. Quirós, J.L Ochoa.

RESUMEN:

Este trabajo documenta el diseño mecánico y electrónico desarrollado para automatizar la rendija del espectrógrafo Boller & Chivens del OAN. El nuevo sistema de control electrónico fue diseñado de manera modular y permite el manejo remoto de la rendija y las dos lámparas del espectrógrafo. El diseño del mecanismo no modifica la estructura del instrumento y considera un esquema de fácil instalación con posibilidad de ser operado manualmente si así se requiere. Para el manejo amigable del sistema se desarrolló una interfaz gráfica en lenguaje de programación TCL-TK y una versión en Python. 

1 comentario: